Skip to Content

Moore’s Law’s Ultraviolet Savior Is Finally Ready

A long-awaited tool the chip industry needs to keep driving progress is finally working.

It is easy to take for granted the advancements in our mobile phones, wearable electronics, and other gadgets. But advances in computing rely on processes that the semiconductor industry cannot take for granted. Moore’s Law, which says that computing power will double every two years, is already slowing (see “Intel Puts the Brakes on Moore’s Law”).

Now a key tool the tech industry hopes will offset that deceleration—one that private companies, academia, and governments around the world have invested billions of dollars and decades developing—is finally being tested in factories operated by Samsung, Intel, and other companies. This technology is called extreme-ultraviolet (EUV) lithography, and industry leaders say it could be used in high-volume chip manufacturing as early as 2018 (see “The Moore’s Law Moon Shot”).

Lithography works somewhat like old-fashioned film photography: light is projected through a patterned mask onto a surface coated with light-sensitive chemicals called photoresists. The smaller the wavelength of light, the higher resolution patterns it’s possible to make. The industry has pushed the existing technology, which uses light that’s 193 nanometers in wavelength, to its limits. To keep up progress in the latest generation of chips, Intel and other companies had to use multiple patterning steps for each layer in a chip. Each of these steps—and the necessary masks—adds time, complexity, and expense. Using shorter wavelength EUV light would bring some relief.

An EUV demo tool at ASML.

“I never expected they would detect gravity waves before EUV went into production,” said Kenneth Goldberg, the deputy director of the Center for X-Ray Optics at the Lawrence Berkeley National Laboratory, at a lithography conference this spring in San Jose, California. Indeed, making EUV lithography work has been an expensive, international, interdisciplinary physics project.

In 2011, Intel added to that, investing $4 billion in ASML, a Dutch chip-making-equipment company. That investment seems to be paying off. ASML recently announced that it has overcome the biggest technological hurdle: it hasn’t been practical to switch to the shorter wavelength EUV light because the light sources were far too dim. A dim light source means it takes longer to expose the photoresist—it’s akin to nighttime photography, which requires longer exposure times. And time is money. Until this fall, companies had not reported any throughput numbers at all for EUV.

For ASML, making the light source brighter involved advances in plasma and laser physics, as well as a deeper understanding of the materials involved. A laser is used to heat up a tiny droplet of tin and turn it into plasma. As the tin cools, it emits EUV light. One hang-up has been that only 1 percent of the energy provided by that first laser pulse ended up being turned into UV light. By adding a pre-pulse step, ASML has made the conversion five times more efficient. The first pulse shapes the tin into a pancake that is better at absorbing the energy from the second pulse.

This boosts the wattage of the light source to something viable—from 40 watts last year to 200 watts this year. With brighter light, the manufacturing speed doubles, from 400 wafers a day to 800. That’s still slower than the status quo technology, which can pattern 3,000 wafers a day. But the status quo technology will slow down in the coming years—it will take more patterning steps and more expensive masks to make ever finer features on future chips.

We’ve heard this before, says lithography expert and longtime EUV skeptic Chris Mack. The technology has been two years from high-volume manufacturing for a decade, he says. “I’m surprised we didn’t give up on EUV a long time ago, but we haven’t because we don’t have alternatives,” he says (see “Intel Chips Will Have to Sacrifice Speed Gains for Energy Savings”).

Mack notes that companies other than ASML are more vague in their public statements about the timing of EUV. Representatives of Taiwanese chip-making giant TSMC have hinted it will bring on the technology in 2020, says Mack. Intel has been less specific. Janice Golda, who works on lithography in the Technology and Manufacturing Group at Intel says there have been significant strides with EUV over the past year, but she declines to give a specific date for Intel to bring it into production.

But even skeptics like Mack are feeling more optimistic today. It’s significant that ASML finally has machines out to its customers for test runs. “We’ll see quicker progress now,” he says.

 

Keep Reading

Most Popular

Large language models can do jaw-dropping things. But nobody knows exactly why.

And that's a problem. Figuring it out is one of the biggest scientific puzzles of our time and a crucial step towards controlling more powerful future models.

OpenAI teases an amazing new generative video model called Sora

The firm is sharing Sora with a small group of safety testers but the rest of us will have to wait to learn more.

Google’s Gemini is now in everything. Here’s how you can try it out.

Gmail, Docs, and more will now come with Gemini baked in. But Europeans will have to wait before they can download the app.

This baby with a head camera helped teach an AI how kids learn language

A neural network trained on the experiences of a single young child managed to learn one of the core components of language: how to match words to the objects they represent.

Stay connected

Illustration by Rose Wong

Get the latest updates from
MIT Technology Review

Discover special offers, top stories, upcoming events, and more.

Thank you for submitting your email!

Explore more newsletters

It looks like something went wrong.

We’re having trouble saving your preferences. Try refreshing this page and updating them one more time. If you continue to get this message, reach out to us at customer-service@technologyreview.com with a list of newsletters you’d like to receive.